Welcome![Sign In][Sign Up]
Location:
Search - frequency counter

Search list

[OtherWEEDER_FREQUENCY_COUNTER

Description: 16f84 weeder frequency counter
Platform: | Size: 277504 | Author: mehmet | Hits:

[VHDL-FPGA-VerilogDDS

Description: VHDL经典设计 十进制 VHDL 频率计-VHDL classic design metric VHDL frequency counter
Platform: | Size: 277504 | Author: 刘思行 | Hits:

[Otherfrequencycounter

Description: 一个简单大家容易看的懂的频率计设计程序,可以实现自动换挡功能。-A simple and easy to see to understand all of the frequency counter design program that can automatically shift feature.
Platform: | Size: 2048 | Author: zhangliang | Hits:

[assembly languageDigiFreqCounter

Description: mcs 8051 frequency counter
Platform: | Size: 20480 | Author: lian | Hits:

[assembly language0_50MHz_Frequency_meter

Description: *** *** *** *** *** *** * A frequency counter which can read frequencies from 0Hz to 50MHz-************************************* A frequency counter which can read frequencies from 0Hz to 50MHz
Platform: | Size: 15360 | Author: Medvonok | Hits:

[Otherfrequency-counter-circuit-7seg

Description: Frequency Counter Circuit
Platform: | Size: 33792 | Author: Mahmoud | Hits:

[VHDL-FPGA-Verilogcounter

Description: 用verilog写的计数器,可用于分频等多种功能。已经调试成功很好用-Written with verilog counter, can be used for frequency and other functions. Has been very good success with debugging
Platform: | Size: 2048 | Author: tangxiaolei | Hits:

[VHDL-FPGA-Verilogdigital-frequency

Description: 数字频率计 采用Verilog语言编写,分为8个模块,分别是计数器,门控,分频,寄存器,多路选择,动态位选择,BCD译码模块-Digital frequency meter using Verilog language, divided into eight modules, namely, the counter, gated, frequency, register, multiplexer, Dynamic Choice, BCD decoding module
Platform: | Size: 1265664 | Author: multidecoder | Hits:

[VHDL-FPGA-VerilogFre_Counter_verilog

Description: 基于ep3c25的FPGA频率计的简单设计(用verilog HDL),直接打开即可-FPGA frequency counter based on ep3c25 of simple design (using verilog HDL), can directly open the ... ...
Platform: | Size: 1138688 | Author: yunhen | Hits:

[Embeded-SCM Developcounter

Description: 6位数显频率计数器的proteus仿真电路及C语言程序设计,单片机仿真实例-6-digit frequency counter of proteus was circuit simulation and C language programming, microcontroller simulation
Platform: | Size: 47104 | Author: 常余 | Hits:

[SCM25MHz-frequency-counter

Description: 25MHz 频率计 25MHz 频率计 -25MHz frequency counter 25MHz 25MHz frequency counter 25MHz frequency meter frequency meter
Platform: | Size: 577536 | Author: akang | Hits:

[SCMc-Frequency-Counter

Description: 基于比较器LM393的频率计,通过单片机定时器可以测出频率值。-LM393 comparator-based frequency counter, through the microcontroller timer frequency can be measured.
Platform: | Size: 14336 | Author: 兆升 | Hits:

[SCMfrequency-counter

Description: C语言编写的简单的频率计程序,可测量频率0~1000Hz之间的电平信号,精度为1Hz-C language program simple frequency counter can measure the frequency 0 ~ 1000Hz level signal between the precision of 1Hz
Platform: | Size: 47104 | Author: ldong1989 | Hits:

[Software EngineeringFrequency-Counter

Description: 频率计,可以精确的测量仪器的工作周期,频率,测量精确度高-Frequency, precision measuring instruments for the duty cycle, frequency, high precision measurement
Platform: | Size: 3072 | Author: wulei | Hits:

[VHDL-FPGA-Verilogfrequency-counter

Description: 基于测周法的简单频率计,以STC89C52单片机为核心构成单片机应用系统。系统主要有单片机、显示电路两部分组成。-Measurement method based on a simple frequency counter weeks to form the core of the microcontroller chip STC89C52 applications. There are single-chip system, display circuit composed of two parts.
Platform: | Size: 29696 | Author: nemo | Hits:

[VHDL-FPGA-VerilogFrequency-counter

Description: 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (field programmable gate array) chip design an 8-bit digital precision frequency meter, etc., the frequency meter measurement range of 0-100MHZ, using QUARTUS II integrated development environment for editing, synthesis, simulation waveforms, and downloaded to the CPLD device, the actual circuit testing, simulation and experimental results show that the frequency counter has a higher availability and reliability.
Platform: | Size: 595968 | Author: 吴亮 | Hits:

[SCMPIC16F628-Frequency-counter

Description: 用16f628制造的频率计,外网下的。给大家个参考-Frequency counter with 16f628 made, under the external network. Give you a reference
Platform: | Size: 2372608 | Author: liuyuanhui | Hits:

[SCMFrequency-counter

Description: 频率计 Frequency counter 可以测定四位数的频率,相对较准确,含源程序-Frequency counter
Platform: | Size: 133120 | Author: cl | Hits:

[Other Embeded programFrequency-counter-using-C

Description: frequency counter using 8051 written in C
Platform: | Size: 19456 | Author: ravi | Hits:

[VHDL-FPGA-Verilogfrequency-counter

Description: 这是使用VHDL语言编写的频率计数器源码。包含了各个模块以及主函数。-This is the source of the frequency counter using VHDL language. Contains various modules and the main function.
Platform: | Size: 1024 | Author: 张鑫 | Hits:
« 1 23 4 5 6 7 8 9 10 ... 33 »

CodeBus www.codebus.net